sky130_fd_sc_ls__tapmet1

Tap cell with isolated power and ground connections

This is a stub of cell description file

  • Cell name: sky130_fd_sc_ls__tapmet1

  • Type: cell

  • Verilog name: sky130_fd_sc_ls__tapmet1

  • Library: sky130_fd_sc_ls

  • Inputs: 0 ()

  • Outputs: 0 ()

sky130_fd_sc_ls__tapmet1 symbols

../../../../../_images/sky130_fd_sc_ls__tapmet1.symbol.svg
../../../../../_images/sky130_fd_sc_ls__tapmet1.pp.symbol.svg

sky130_fd_sc_ls__tapmet1 schematic

../../../../../_images/sky130_fd_sc_ls__tapmet1.schematic.svg

sky130_fd_sc_ls__tapmet1 GDSII layouts

../../../../../_images/sky130_fd_sc_ls__tapmet1_2.svg

sky130_fd_sc_ls__tapmet1_2