:cell:`sky130_fd_sc_ls__tapmet1` ================================ **Tap cell with isolated power and ground connections** *This is a stub of cell description file* - **Cell name**: :cell:`sky130_fd_sc_ls__tapmet1` - **Type**: cell - **Verilog name**: sky130_fd_sc_ls__tapmet1 - **Library**: sky130_fd_sc_ls - **Inputs**: 0 () - **Outputs**: 0 () :cell:`sky130_fd_sc_ls__tapmet1` symbols ---------------------------------------- .. list-table:: * - .. figure:: sky130_fd_sc_ls__tapmet1.symbol.svg - - .. figure:: sky130_fd_sc_ls__tapmet1.pp.symbol.svg :cell:`sky130_fd_sc_ls__tapmet1` schematic ------------------------------------------ .. figure:: sky130_fd_sc_ls__tapmet1.schematic.svg :align: center :cell:`sky130_fd_sc_ls__tapmet1` GDSII layouts ---------------------------------------------- .. figure:: sky130_fd_sc_ls__tapmet1_2.svg :align: center :width: 50% sky130_fd_sc_ls__tapmet1_2