sky130_fd_sc_ls__o22ai

2-input OR into both inputs of 2-input NAND

This is a stub of cell description file

  • Cell name: sky130_fd_sc_ls__o22ai

  • Type: cell

  • Verilog name: sky130_fd_sc_ls__o22ai

  • Library: sky130_fd_sc_ls

  • Inputs: 4 (A1, A2, B1, B2)

  • Outputs: 1 (Y)

sky130_fd_sc_ls__o22ai symbols

../../../../../_images/sky130_fd_sc_ls__o22ai.symbol.svg
../../../../../_images/sky130_fd_sc_ls__o22ai.pp.symbol.svg

sky130_fd_sc_ls__o22ai schematic

../../../../../_images/sky130_fd_sc_ls__o22ai.schematic.svg

sky130_fd_sc_ls__o22ai GDSII layouts

../../../../../_images/sky130_fd_sc_ls__o22ai_1.svg

sky130_fd_sc_ls__o22ai_1

../../../../../_images/sky130_fd_sc_ls__o22ai_2.svg

sky130_fd_sc_ls__o22ai_2

../../../../../_images/sky130_fd_sc_ls__o22ai_4.svg

sky130_fd_sc_ls__o22ai_4