sky130_fd_sc_hdll__diode

Antenna tie-down diode

This is a stub of cell description file

  • Cell name: sky130_fd_sc_hdll__diode

  • Type: cell

  • Verilog name: sky130_fd_sc_hdll__diode

  • Library: sky130_fd_sc_hdll

  • Inputs: 1 (DIODE)

  • Outputs: 0 ()

sky130_fd_sc_hdll__diode symbols

../../../../../_images/sky130_fd_sc_hdll__diode.symbol.svg
../../../../../_images/sky130_fd_sc_hdll__diode.pp.symbol.svg

sky130_fd_sc_hdll__diode schematic

../../../../../_images/sky130_fd_sc_hdll__diode.schematic.svg

sky130_fd_sc_hdll__diode GDSII layouts

../../../../../_images/sky130_fd_sc_hdll__diode_2.svg

sky130_fd_sc_hdll__diode_2

../../../../../_images/sky130_fd_sc_hdll__diode_4.svg

sky130_fd_sc_hdll__diode_4

../../../../../_images/sky130_fd_sc_hdll__diode_6.svg

sky130_fd_sc_hdll__diode_6

../../../../../_images/sky130_fd_sc_hdll__diode_8.svg

sky130_fd_sc_hdll__diode_8