sky130_fd_sc_hd__macro_sparecell

Macro cell for metal-mask-only revisioning, containing inverter, 2-input NOR, 2-input NAND, and constant cell

This is a stub of cell description file

  • Cell name: sky130_fd_sc_hd__macro_sparecell

  • Type: cell

  • Verilog name: sky130_fd_sc_hd__macro_sparecell

  • Library: sky130_fd_sc_hd

  • Inputs: 0 ()

  • Outputs: 1 (LO)

sky130_fd_sc_hd__macro_sparecell symbols

../../../../../_images/sky130_fd_sc_hd__macro_sparecell.symbol.svg
../../../../../_images/sky130_fd_sc_hd__macro_sparecell.pp.symbol.svg

sky130_fd_sc_hd__macro_sparecell schematic

contents/libraries/sky130_fd_sc_hd/cells/macro_sparecell/sky130_fd_sc_hd__macro_sparecell.schematic.svg

sky130_fd_sc_hd__macro_sparecell GDSII layouts

../../../../../_images/sky130_fd_sc_hd__macro_sparecell.svg

sky130_fd_sc_hd__macro_sparecell