:cell:`sky130_fd_sc_hd__macro_sparecell` ======================================== **Macro cell for metal-mask-only revisioning, containing inverter, 2-input NOR, 2-input NAND, and constant cell** *This is a stub of cell description file* - **Cell name**: :cell:`sky130_fd_sc_hd__macro_sparecell` - **Type**: cell - **Verilog name**: sky130_fd_sc_hd__macro_sparecell - **Library**: sky130_fd_sc_hd - **Inputs**: 0 () - **Outputs**: 1 (LO) :cell:`sky130_fd_sc_hd__macro_sparecell` symbols ------------------------------------------------ .. list-table:: * - .. figure:: sky130_fd_sc_hd__macro_sparecell.symbol.svg - - .. figure:: sky130_fd_sc_hd__macro_sparecell.pp.symbol.svg :cell:`sky130_fd_sc_hd__macro_sparecell` schematic -------------------------------------------------- .. figure:: sky130_fd_sc_hd__macro_sparecell.schematic.svg :align: center :cell:`sky130_fd_sc_hd__macro_sparecell` GDSII layouts ------------------------------------------------------ .. figure:: sky130_fd_sc_hd__macro_sparecell.svg :align: center :width: 50% sky130_fd_sc_hd__macro_sparecell