sky130_fd_sc_ms__fill

Fill cell

This is a stub of cell description file

  • Cell name: sky130_fd_sc_ms__fill

  • Type: cell

  • Verilog name: sky130_fd_sc_ms__fill

  • Library: sky130_fd_sc_ms

  • Inputs: 0 ()

  • Outputs: 0 ()

sky130_fd_sc_ms__fill symbols

../../../../../_images/sky130_fd_sc_ms__fill.symbol.svg
../../../../../_images/sky130_fd_sc_ms__fill.pp.symbol.svg

sky130_fd_sc_ms__fill schematic

../../../../../_images/sky130_fd_sc_ms__fill.schematic.svg

sky130_fd_sc_ms__fill GDSII layouts

../../../../../_images/sky130_fd_sc_ms__fill_1.svg

sky130_fd_sc_ms__fill_1

../../../../../_images/sky130_fd_sc_ms__fill_2.svg

sky130_fd_sc_ms__fill_2

../../../../../_images/sky130_fd_sc_ms__fill_4.svg

sky130_fd_sc_ms__fill_4

../../../../../_images/sky130_fd_sc_ms__fill_8.svg

sky130_fd_sc_ms__fill_8