sky130_fd_sc_ms__diode

Antenna tie-down diode

This is a stub of cell description file

  • Cell name: sky130_fd_sc_ms__diode

  • Type: cell

  • Verilog name: sky130_fd_sc_ms__diode

  • Library: sky130_fd_sc_ms

  • Inputs: 1 (DIODE)

  • Outputs: 0 ()

sky130_fd_sc_ms__diode symbols

../../../../../_images/sky130_fd_sc_ms__diode.symbol.svg
../../../../../_images/sky130_fd_sc_ms__diode.pp.symbol.svg

sky130_fd_sc_ms__diode schematic

../../../../../_images/sky130_fd_sc_ms__diode.schematic.svg

sky130_fd_sc_ms__diode GDSII layouts

../../../../../_images/sky130_fd_sc_ms__diode_2.svg

sky130_fd_sc_ms__diode_2