sky130_fd_sc_ls__tapvgndnovpb

Substrate only tap cell

This is a stub of cell description file

  • Cell name: sky130_fd_sc_ls__tapvgndnovpb

  • Type: cell

  • Verilog name: sky130_fd_sc_ls__tapvgndnovpb

  • Library: sky130_fd_sc_ls

  • Inputs: 0 ()

  • Outputs: 0 ()

sky130_fd_sc_ls__tapvgndnovpb symbols

../../../../../_images/sky130_fd_sc_ls__tapvgndnovpb.symbol.svg
../../../../../_images/sky130_fd_sc_ls__tapvgndnovpb.pp.symbol.svg

sky130_fd_sc_ls__tapvgndnovpb schematic

../../../../../_images/sky130_fd_sc_ls__tapvgndnovpb.schematic.svg

sky130_fd_sc_ls__tapvgndnovpb GDSII layouts

../../../../../_images/sky130_fd_sc_ls__tapvgndnovpb_1.svg

sky130_fd_sc_ls__tapvgndnovpb_1