sky130_fd_sc_ls__fill_diode

Fill diode

This is a stub of cell description file

  • Cell name: sky130_fd_sc_ls__fill_diode

  • Type: cell

  • Verilog name: sky130_fd_sc_ls__fill_diode

  • Library: sky130_fd_sc_ls

  • Inputs: 0 ()

  • Outputs: 0 ()

sky130_fd_sc_ls__fill_diode symbols

../../../../../_images/sky130_fd_sc_ls__fill_diode.symbol.svg
../../../../../_images/sky130_fd_sc_ls__fill_diode.pp.symbol.svg

sky130_fd_sc_ls__fill_diode schematic

../../../../../_images/sky130_fd_sc_ls__fill_diode.schematic.svg

sky130_fd_sc_ls__fill_diode GDSII layouts

../../../../../_images/sky130_fd_sc_ls__fill_diode_2.svg

sky130_fd_sc_ls__fill_diode_2

../../../../../_images/sky130_fd_sc_ls__fill_diode_4.svg

sky130_fd_sc_ls__fill_diode_4

../../../../../_images/sky130_fd_sc_ls__fill_diode_8.svg

sky130_fd_sc_ls__fill_diode_8