sky130_fd_sc_ls__fill

Fill cell

This is a stub of cell description file

  • Cell name: sky130_fd_sc_ls__fill

  • Type: cell

  • Verilog name: sky130_fd_sc_ls__fill

  • Library: sky130_fd_sc_ls

  • Inputs: 0 ()

  • Outputs: 0 ()

sky130_fd_sc_ls__fill symbols

../../../../../_images/sky130_fd_sc_ls__fill.symbol.svg
../../../../../_images/sky130_fd_sc_ls__fill.pp.symbol.svg

sky130_fd_sc_ls__fill schematic

../../../../../_images/sky130_fd_sc_ls__fill.schematic.svg

sky130_fd_sc_ls__fill GDSII layouts

../../../../../_images/sky130_fd_sc_ls__fill_1.svg

sky130_fd_sc_ls__fill_1

../../../../../_images/sky130_fd_sc_ls__fill_2.svg

sky130_fd_sc_ls__fill_2

../../../../../_images/sky130_fd_sc_ls__fill_4.svg

sky130_fd_sc_ls__fill_4

../../../../../_images/sky130_fd_sc_ls__fill_8.svg

sky130_fd_sc_ls__fill_8