sky130_fd_sc_hs__diode

Antenna tie-down diode

This is a stub of cell description file

  • Cell name: sky130_fd_sc_hs__diode

  • Type: cell

  • Verilog name: sky130_fd_sc_hs__diode

  • Library: sky130_fd_sc_hs

  • Inputs: 1 (DIODE)

  • Outputs: 0 ()

sky130_fd_sc_hs__diode symbols

../../../../../_images/sky130_fd_sc_hs__diode.symbol.svg
../../../../../_images/sky130_fd_sc_hs__diode.pp.symbol.svg

sky130_fd_sc_hs__diode schematic

../../../../../_images/sky130_fd_sc_hs__diode.schematic.svg

sky130_fd_sc_hs__diode GDSII layouts

../../../../../_images/sky130_fd_sc_hs__diode_2.svg

sky130_fd_sc_hs__diode_2