sky130_fd_sc_hdll__inputiso1p

Input isolation, noninverted sleep

This is a stub of cell description file

  • Cell name: sky130_fd_sc_hdll__inputiso1p

  • Type: cell

  • Verilog name: sky130_fd_sc_hdll__inputiso1p

  • Library: sky130_fd_sc_hdll

  • Inputs: 2 (A, SLEEP)

  • Outputs: 1 (X)

sky130_fd_sc_hdll__inputiso1p symbols

../../../../../_images/sky130_fd_sc_hdll__inputiso1p.symbol.svg
../../../../../_images/sky130_fd_sc_hdll__inputiso1p.pp.symbol.svg

sky130_fd_sc_hdll__inputiso1p schematic

../../../../../_images/sky130_fd_sc_hdll__inputiso1p.schematic.svg

sky130_fd_sc_hdll__inputiso1p GDSII layouts

../../../../../_images/sky130_fd_sc_hdll__inputiso1p_1.svg

sky130_fd_sc_hdll__inputiso1p_1