sky130_fd_sc_hdll__inputiso0p

Input isolator with non-inverted enable

This is a stub of cell description file

  • Cell name: sky130_fd_sc_hdll__inputiso0p

  • Type: cell

  • Verilog name: sky130_fd_sc_hdll__inputiso0p

  • Library: sky130_fd_sc_hdll

  • Inputs: 2 (A, SLEEP)

  • Outputs: 1 (X)

sky130_fd_sc_hdll__inputiso0p symbols

../../../../../_images/sky130_fd_sc_hdll__inputiso0p.symbol.svg
../../../../../_images/sky130_fd_sc_hdll__inputiso0p.pp.symbol.svg

sky130_fd_sc_hdll__inputiso0p schematic

../../../../../_images/sky130_fd_sc_hdll__inputiso0p.schematic.svg

sky130_fd_sc_hdll__inputiso0p GDSII layouts

../../../../../_images/sky130_fd_sc_hdll__inputiso0p_1.svg

sky130_fd_sc_hdll__inputiso0p_1