sky130_fd_sc_hd__tapvgnd2

Tap cell with tap to ground, isolated power connection 2 rows down

This is a stub of cell description file

  • Cell name: sky130_fd_sc_hd__tapvgnd2

  • Type: cell

  • Verilog name: sky130_fd_sc_hd__tapvgnd2

  • Library: sky130_fd_sc_hd

  • Inputs: 0 ()

  • Outputs: 0 ()

sky130_fd_sc_hd__tapvgnd2 symbols

../../../../../_images/sky130_fd_sc_hd__tapvgnd2.symbol.svg
../../../../../_images/sky130_fd_sc_hd__tapvgnd2.pp.symbol.svg

sky130_fd_sc_hd__tapvgnd2 schematic

../../../../../_images/sky130_fd_sc_hd__tapvgnd2.schematic.svg

sky130_fd_sc_hd__tapvgnd2 GDSII layouts

../../../../../_images/sky130_fd_sc_hd__tapvgnd2_1.svg

sky130_fd_sc_hd__tapvgnd2_1