sky130_fd_sc_hd__decap

Decoupling capacitance filler

This is a stub of cell description file

  • Cell name: sky130_fd_sc_hd__decap

  • Type: cell

  • Verilog name: sky130_fd_sc_hd__decap

  • Library: sky130_fd_sc_hd

  • Inputs: 0 ()

  • Outputs: 0 ()

sky130_fd_sc_hd__decap symbols

../../../../../_images/sky130_fd_sc_hd__decap.symbol.svg
../../../../../_images/sky130_fd_sc_hd__decap.pp.symbol.svg

sky130_fd_sc_hd__decap schematic

../../../../../_images/sky130_fd_sc_hd__decap.schematic.svg

sky130_fd_sc_hd__decap GDSII layouts

../../../../../_images/sky130_fd_sc_hd__decap_12.svg

sky130_fd_sc_hd__decap_12

../../../../../_images/sky130_fd_sc_hd__decap_3.svg

sky130_fd_sc_hd__decap_3

../../../../../_images/sky130_fd_sc_hd__decap_4.svg

sky130_fd_sc_hd__decap_4

../../../../../_images/sky130_fd_sc_hd__decap_6.svg

sky130_fd_sc_hd__decap_6

../../../../../_images/sky130_fd_sc_hd__decap_8.svg

sky130_fd_sc_hd__decap_8