:cell:`sky130_fd_sc_ls__diode` ============================== **Antenna tie-down diode** *This is a stub of cell description file* - **Cell name**: :cell:`sky130_fd_sc_ls__diode` - **Type**: cell - **Verilog name**: sky130_fd_sc_ls__diode - **Library**: sky130_fd_sc_ls - **Inputs**: 1 (DIODE) - **Outputs**: 0 () :cell:`sky130_fd_sc_ls__diode` symbols -------------------------------------- .. list-table:: * - .. figure:: sky130_fd_sc_ls__diode.symbol.svg - - .. figure:: sky130_fd_sc_ls__diode.pp.symbol.svg :cell:`sky130_fd_sc_ls__diode` schematic ---------------------------------------- .. figure:: sky130_fd_sc_ls__diode.schematic.svg :align: center :cell:`sky130_fd_sc_ls__diode` GDSII layouts -------------------------------------------- .. figure:: sky130_fd_sc_ls__diode_2.svg :align: center :width: 50% sky130_fd_sc_ls__diode_2