:cell:`sky130_fd_sc_hs__fill_diode` =================================== **Fill diode** *This is a stub of cell description file* - **Cell name**: :cell:`sky130_fd_sc_hs__fill_diode` - **Type**: cell - **Verilog name**: sky130_fd_sc_hs__fill_diode - **Library**: sky130_fd_sc_hs - **Inputs**: 0 () - **Outputs**: 0 () :cell:`sky130_fd_sc_hs__fill_diode` symbols ------------------------------------------- .. list-table:: * - .. figure:: sky130_fd_sc_hs__fill_diode.symbol.svg - - .. figure:: sky130_fd_sc_hs__fill_diode.pp.symbol.svg :cell:`sky130_fd_sc_hs__fill_diode` schematic --------------------------------------------- .. figure:: sky130_fd_sc_hs__fill_diode.schematic.svg :align: center :cell:`sky130_fd_sc_hs__fill_diode` GDSII layouts ------------------------------------------------- .. figure:: sky130_fd_sc_hs__fill_diode_2.svg :align: center :width: 50% sky130_fd_sc_hs__fill_diode_2 .. figure:: sky130_fd_sc_hs__fill_diode_4.svg :align: center :width: 50% sky130_fd_sc_hs__fill_diode_4 .. figure:: sky130_fd_sc_hs__fill_diode_8.svg :align: center :width: 50% sky130_fd_sc_hs__fill_diode_8