:cell:`sky130_fd_sc_hdll__tapvgnd` ================================== **Tap cell with tap to ground, isolated power connection 1 row down** *This is a stub of cell description file* - **Cell name**: :cell:`sky130_fd_sc_hdll__tapvgnd` - **Type**: cell - **Verilog name**: sky130_fd_sc_hdll__tapvgnd - **Library**: sky130_fd_sc_hdll - **Inputs**: 0 () - **Outputs**: 0 () :cell:`sky130_fd_sc_hdll__tapvgnd` symbols ------------------------------------------ .. list-table:: * - .. figure:: sky130_fd_sc_hdll__tapvgnd.symbol.svg - - .. figure:: sky130_fd_sc_hdll__tapvgnd.pp.symbol.svg :cell:`sky130_fd_sc_hdll__tapvgnd` schematic -------------------------------------------- .. figure:: sky130_fd_sc_hdll__tapvgnd.schematic.svg :align: center :cell:`sky130_fd_sc_hdll__tapvgnd` GDSII layouts ------------------------------------------------ .. figure:: sky130_fd_sc_hdll__tapvgnd_1.svg :align: center :width: 50% sky130_fd_sc_hdll__tapvgnd_1