:cell:`sky130_fd_sc_hd__lpflow_inputiso0n` ========================================== **Input isolator with inverted enable** *This is a stub of cell description file* - **Cell name**: :cell:`sky130_fd_sc_hd__lpflow_inputiso0n` - **Type**: cell - **Verilog name**: sky130_fd_sc_hd__lpflow_inputiso0n - **Library**: sky130_fd_sc_hd - **Inputs**: 2 (A, SLEEP_B) - **Outputs**: 1 (X) :cell:`sky130_fd_sc_hd__lpflow_inputiso0n` symbols -------------------------------------------------- .. list-table:: * - .. figure:: sky130_fd_sc_hd__lpflow_inputiso0n.symbol.svg - - .. figure:: sky130_fd_sc_hd__lpflow_inputiso0n.pp.symbol.svg :cell:`sky130_fd_sc_hd__lpflow_inputiso0n` schematic ---------------------------------------------------- .. figure:: sky130_fd_sc_hd__lpflow_inputiso0n.schematic.svg :align: center :cell:`sky130_fd_sc_hd__lpflow_inputiso0n` GDSII layouts -------------------------------------------------------- .. figure:: sky130_fd_sc_hd__lpflow_inputiso0n_1.svg :align: center :width: 50% sky130_fd_sc_hd__lpflow_inputiso0n_1